Technologyfeatured

Semiconductor Front-End Process Episode 5: Supporting Wafer Miniaturization Through Deposition

By March 2, 2023 December 5th, 2023 No Comments

While the previous episode on etching revealed how unwanted materials are removed from the wafer’s surface, this episode will explain how materials are precisely and evenly added to the surface as a thin film through deposition. Though there are several processes that add materials to a wafer, deposition is particularly important due to its crucial role in supporting the miniaturization of semiconductors. This episode will explain not only the function and types of deposition, but also look at its relationship with other semiconductor processes and the challenges involved.

▲ Figure 1. Adding chocolate syrup and another layer of the cookie on top

 

We can first get a better idea of the deposition process by returning to the cookie-making analogy used in previous episodes. As shown in Figure 1, in order to make a chocolate-filled cookie, chocolate syrup is first added to the etched surface of the cookie and then another cookie is placed on top to create another layer. This thin layering process is akin to deposition.

Deposition: Adding Materials to the Wafer

The deposition process is very intuitive. Once the wafer is prepared for processing, it is inserted into the deposition device. As time passes, a sufficiently thick film will be formed on the surface of the wafer before unnecessary parts are removed in order to move on to the next process.

Just as etching is one of the many processes that removes materials from a wafer’s surface, deposition is also part of a group of processes that adds materials to a wafer’s surface. For example, the photoresist coating process involves applying various films to the wafer’s surface, while the process of oxidating the wafer—or silicon—also adds materials to the wafer’s surface. So, what makes the deposition process stand out among these various processes?

It has to do with the miniaturization of semiconductors that became increasingly necessary for high-performance and low-power electronics. With such miniaturization, it was required to add thin films composed of various materials such as metal that can handle different roles. In the past, semiconductor companies used aluminum for the metal wiring inside the chip due to its high-conductivity1. However, as the miniaturization of aluminum reached its limit, manufacturers switched to using copper for the wiring as it has a higher conductivity than aluminum. But the problem with copper atoms is that, unlike aluminum, they have the tendency to even spread into areas that manufacturers don’t want to be interfered with—such as those containing silicon dioxide (SiO2). To prevent this, a high-quality thin film is applied to the area where the copper wiring is to be coated. It acts as a protective film by restricting the passing of copper.

1Conductivity: The measure of a material’s ability to pass an electric current. Materials such as metals have high conductivity.

To make the layers of the semiconductor’s core device and wiring that is only one-thousandth the thickness of a human hair, it is necessary to apply these materials thinly and evenly. This is why the deposition process—which is referred to as the thin film deposition process within the semiconductor industry—is crucial in the semiconductor manufacturing process.

Types of Thin Films and Their Roles

Since semiconductors cannot operate with pure silicon alone, the process of adding materials is very important in semiconductor manufacturing. It separates the two areas that must not be interfered with while using wires to connect areas together. Adding materials is also necessary in other cases such as when using a specific film to strengthen or weaken an electrical field, or to facilitate the next process in the semiconductor manufacturing procedure by producing a thin film in advance.

Among the various roles of thin films in a semiconductor, the most crucial function is that of a protective barrier. These films increase the reliability of operation by creating boundaries among circuits to prevent interference of core semiconductor devices and to block leakage in currents. If needed, the films can be applied at the end of the manufacturing process to protect the chip from external shock. In addition, when etching is used following the stacking of semiconductors, the films can be used to prevent etching in unwanted areas. Some examples of such protective films are STI2 and IMD3, while the materials that are used for the films include silicon dioxide (SiO2), silicon carbide (SiC), and silicon nitride (SiN).

2 STI (Shallow Trench Isolation): A trench-shaped protective film that prevents leakage current in the device’s boundary.
3 IMD (Intermetal Dielectric): A protective film that prevents unwanted current flow between the layers of metal wiring.

▲ Figure 2. STI preventing leakage current at the device’s boundary

 

Another material that is used is metal. It makes sure the semiconductor’s bottom device, or the transistor, fulfills its role by connecting the transistor with other devices and power sources. As such, the transistor is useless by itself without such connections. To make these connections, metal wiring made from materials such as titanium, copper, and aluminum is needed while a contact that connects the metal wiring and components needs to be created. This process is just like soldering wires to connect the components on an electronic circuit board inside home appliances. The wires connected on top of the circuit board serve the same purpose as the metal wiring inside a semiconductor, and soldering has the same function as contacts inside a chip.

Moreover, deposition is used for many other purposes, such as the production of transistors to form the gate insulating film and applying hard masks that are used in multiple patterning4. As mentioned earlier, deposition is used in almost every step of semiconductor manufacturing and it, at times, replaces other processes. As an example, gate insulating films were made through the oxidation process in the past. But, nowadays, deposition is the primary method as the emphasis on precision and quality increased due to the miniaturization of semiconductors.

4 Multiple Patterning: A technology that makes semiconductors even finer. It repeats the processes of exposure and etching several times.

Key Aspects of Deposition: Uniformity and Step Coverage

▲ Figure 3. Examples of high and low uniformity

 

It is also useful to know the terminology that relates to the quality of the deposition process. Some of them will sound similar to those that were introduced in the etching episode. The first term is “uniformity” which is the measure of how evenly the materials are formed during the deposition process. As the entire wafer is placed inside a machine during deposition just as it is during etching, the thickness may vary in different parts of the wafer. A higher uniformity signifies that the material was evenly applied to the entire wafer.

The next term is “step coverage.” As we saw in the etching and oxidation processes, the thickness of the film may not be evenly formed if there are sharp or uneven edges on the wafer’s surface. Step coverage refers to the difference in thickness between the top and bottom films—or top and side wall films—that are on the rough surface where deposition is performed. If the step coverage is close to a value of 1, it means that there is minimal difference between the top and bottom or side film thickness. If the step coverage is significantly less than 1, it indicates that the bottom or side wall film is very thin compared to the top.

▲ Figure 4. Examples of step coverage

 

Types of Deposition: CVD, PVD and ALD

Similar to other processes, deposition methods can also be divided into chemical and physical types: chemical vapor deposition (CVD) and physical vapor deposition (PVD). CVD is a method that deposits materials onto the wafer’s surface using a chemical reaction. The most common method is to utilize catalytic activity to provide energy into a mixture of gases. If material A needs to be deposited on the surface, two gases—B and C—which can produce A are injected with the addition of energy or something similar that can trigger a reaction. The below equation shows how the material is made:

B + C + (energy, etc.) → A + byproducts

The chemical method is optimal due to a high deposition rate and excellent step coverage. However, there is the downside that various impurities can contaminate the materials as it is impossible to completely remove byproduct gases that can be constantly generated during the reaction process. This method, therefore, is used to create various thick shields or dispensable films like hard masks rather than being used in areas where property control must be very precise.

▲ Figure 5. CVD and PVD deposition methods

 

Meanwhile, PVD is a method that deposits materials onto the wafer’s surface by gasification. As shown in Figure 5, material A is vaporized into atoms which will then be deposited onto the wafer. Just as in etching, the common method of PVD is sputtering5 which uses plasma ions—typically inert gases—moving at high speeds to release atoms from the target, material A. The separated atoms travel in the opposite direction until they are deposited onto the wafer.

5 Sputtering: A physical method that causes the surface of a material to break apart by impinging high energy on it.

Given that there are no byproduct gases, high purity is one advantage of this method. Moreover, it is possible to deposit nonreactive, pure materials such as pure tungsten and cobalt. Due to these characteristics, PVD is commonly used in metal wire manufacturing where pure materials are heavily used.

Meanwhile, there is also a unique process called atomic layer deposition (ALD). While the processes we have discussed so far involved chemical bonding of activated gas to a wafer’s surface or depositing materials via sputtering, ALD uses a slightly different method. To thinly deposit material A onto a wafer, two reactant materials in the form of B and C that are used to make A need to be prepared. Material B is a precursor that can be easily adhered to the wafer’s surface while material C is highly reactive. To begin with, the atoms of material B stick onto the wafer’s surface. If these atoms have the characteristic of not sticking well to each other, only a single atomic layer of material B will remain on the wafer’s surface. Next, the remnants of material B are removed and material C is injected. Material B and C react to form material A and, also, create a byproduct gas, which should be removed afterwards. Repeating this process can control the thickness of the film at the atomic level.

▲ Figure 6. Concepts of CVD and ALD (Source: The Understanding of the Semiconductor Manufacturing Technology, p. 293)

 

This method is ideal for its excellent uniformity and step coverage. The precursor material not only can stick to various surfaces—whether vertical or horizontal—but it also allows only one atomic layer to be produced per ALD cycle. However, given that the method operates at the atomic-layer level, the downside is that the process is slow. Due to these characteristics, ALD is commonly used in components such as DRAM capacitors that have a high aspect ratio6 but require a high-quality film.

6 Aspect Ratio: The ratio of depth to width. A high aspect ratio means that the structure is narrow but tall.

Having reviewed the types of deposition, it is clear that there is a trade-off between precision and processing speed in deposition processes, just as in other processes. In other words, when improving properties like uniformity to enhance precision, the processing speed is going to be inevitably slower. This balance between precision and processing speed is a constant dilemma for semiconductor manufacturers, and the deposition process is no exception.

Difficulty in Choosing Materials

At times, there are reports over discoveries of new materials that are expected to greatly improve specifications. However, when it comes to the semiconductor sector, there are not that many instances where the new material featured on the news is actually used in the industry. This is because better qualities of materials do not necessarily guarantee better performance, while the properties required for deposition materials are as diverse as those required for deposition equipment. The next section will look at some of the effects that material properties have on manufacturing.

▲ Figure 7. Pattern damage due to thermal expansion

 

Any change in size when a material is heated is called thermal expansion. If we take train tracks as an example, there are gaps between the tracks to prevent them from bending under the summer heat due to thermal expansion. While thermal expansion also occurs in semiconductor manufacturing, this can be an issue as each material has a different degree of expansion. For example, the coefficient of thermal expansion in aluminum is over 40 times that of silicon oxide. Consequently, if a high-temperature process is applied to an aluminum thin film made on silicon oxide, the internal structure may bend and get damaged. If the material previously used for a specific thin film is replaced with a material with a significantly different expansion coefficient, the manufacturing yield may change significantly at high temperatures.

▲ Figure 8. The concept of electromigration

 

There is also a phenomenon called electromigration (EM) where moving electrons hit metal wiring atoms and change positions when the electricity flows through the metal wiring. This phenomenon mainly occurs in light metal wires made of aluminum. To avoid this, copper wiring was introduced and, consequently, many additional processes were discovered including the need to introduce a diffusion barrier. As miniaturization progressed further, EM also appeared in copper wiring, and to solve this problem, a major tech company introduced cobalt wiring in the metal wiring layer. Since the material of the core wiring layer changed, tremendous process changes occurred in the layers above and below as the attempts to improve the EM properties required a major change in the process.

It is worth noting that semiconductor manufacturing is a very tightly intertwined operation of hundreds of processes. In other words, when assessing the quality of a material, not only the material’s traits but its relationship with other processes should be taken into consideration as deposited materials do not exist in a vacuum.

Deposition’s Relationship with Other Processes

Deposition is a vital aspect of semiconductor manufacturing which has been shown to have close relationships with other processes, even replacing them on some occasions. As mentioned earlier, it is possible to produce the same materials through deposition and other processes with differing results. As an example, silicon dioxide (SiO2) can be made through oxidation as well as deposition, but the properties of the material can change depending on the process that has been taken.

In some cases, the same methods can be used in various processes for different purposes. For example, sputtering is used in physical etching and deposition. The only distinguishing factor is whether sputtering is used to cut the wafer itself or to attach materials that have already been cut. Chemical etching and CVD also share similarities. Most notably, one of the important factors in chemical etching is whether the byproducts generated by the reaction between the etching gas and the reactant are vaporized. This also applies to CVD as the byproducts generated from this deposition process should be vaporized well and discharged easily so that the process proceeds smoothly.

Deposition’s importance and consideration of other processes can also be seen through the choice of materials used. We have seen that semiconductor manufacturers do not merely select materials that have a few good physical properties, as thermal expansion must be considered for deposition materials. If an excessively high temperature is required in the material deposition process, the previously deposited material may change. Whereas, if a material that is excessively sensitive to temperature is used, it becomes difficult to use heat in subsequent processes. Moreover, having more control over the material’s deposition rate and purity level provides more options for the process.

Opening the Door for Next-Generation Technologies

Semiconductor manufacturing involves making a single product by combining hundreds of manufacturing processes. Among these, deposition is essential in the age of miniaturization to keep apart areas that should not be interfered with and to connect vital components. Thus, deposition can be seen to support miniaturization, allowing more functions to be added to devices and paving the way for more advanced, energy-efficient products.

 

Read articles from the Front-End Process series

Read articles from the Back-End Process series